7 nm
   HOME

TheInfoList



OR:

In semiconductor manufacturing, the
International Technology Roadmap for Semiconductors The International Technology Roadmap for Semiconductors (ITRS) is a set of documents produced by a group of semiconductor industry experts. These experts are representative of the sponsoring organisations which include the Semiconductor Industry A ...
defines the 7  nm process as the
MOSFET The metal–oxide–semiconductor field-effect transistor (MOSFET, MOS-FET, or MOS FET) is a type of field-effect transistor (FET), most commonly fabricated by the controlled oxidation of silicon. It has an insulated gate, the voltage of which d ...
technology node Semiconductor device fabrication is the process used to manufacture semiconductor devices, typically integrated circuit (IC) chips such as modern computer processors, microcontrollers, and memory chips such as NAND flash and DRAM that are pres ...
following the
10 nm The following are examples of orders of magnitude for different lengths. __TOC__ Overview Detailed list To help compare different orders of magnitude, the following list describes various lengths between 1.6 \times 10^ metres and 10^ ...
node. It is based on
FinFET A fin field-effect transistor (FinFET) is a multigate device, a MOSFET (metal-oxide-semiconductor field-effect transistor) built on a substrate where the gate is placed on two, three, or four sides of the channel or wrapped around the channel, f ...
(fin field-effect transistor) technology, a type of
multi-gate MOSFET A multigate device, multi-gate MOSFET or multi-gate field-effect transistor (MuGFET) refers to a metal–oxide–semiconductor field-effect transistor (MOSFET) that has more than one gate on a single transistor. The multiple gates may be control ...
technology. Taiwan Semiconductor Manufacturing Company (
TSMC Taiwan Semiconductor Manufacturing Company Limited (TSMC; also called Taiwan Semiconductor) is a Taiwanese multinational corporation, multinational semiconductor contract manufacturing and design company. It is the world's most valuable semicon ...
) began production of 256 Mbit SRAM memory chips using a 7 nm process called N7 in June 2016, before
Samsung The Samsung Group (or simply Samsung) ( ko, 삼성 ) is a South Korean multinational manufacturing conglomerate headquartered in Samsung Town, Seoul, South Korea. It comprises numerous affiliated businesses, most of them united under the ...
began mass production of their 7 nm process called 7LPP devices in 2018. The first mainstream 7 nm mobile processor intended for mass market use, the
Apple An apple is an edible fruit produced by an apple tree (''Malus domestica''). Apple fruit tree, trees are agriculture, cultivated worldwide and are the most widely grown species in the genus ''Malus''. The tree originated in Central Asia, wh ...
A12 Bionic The Apple A12 Bionic is a 64-bit ARM-based system on a chip (SoC) designed by Apple Inc. It first appeared in the iPhone XS and XS Max, iPhone XR, iPad Air (3rd generation), iPad Mini (5th generation), 8th generation iPad and Apple TV 4K (2n ...
, was released at Apple's September 2018 event. Although
Huawei Huawei Technologies Co., Ltd. ( ; ) is a Chinese multinational technology corporation headquartered in Shenzhen, Guangdong, China. It designs, develops, produces and sells telecommunications equipment, consumer electronics and various smar ...
announced its own 7 nm processor before the Apple A12 Bionic, the Kirin 980 on August 31, 2018, the Apple A12 Bionic was released for public, mass market use to consumers before the Kirin 980. Both chips are manufactured by TSMC. AMD has released their "
Rome , established_title = Founded , established_date = 753 BC , founder = King Romulus (legendary) , image_map = Map of comune of Rome (metropolitan city of Capital Rome, region Lazio, Italy).svg , map_caption ...
" (EPYC 2) processors for servers and datacenters, which are based on TSMC's N7node and feature up to 64 cores and 128 threads. They have also released their "
Matisse Henri Émile Benoît Matisse (; 31 December 1869 – 3 November 1954) was a French visual artist, known for both his use of colour and his fluid and original draughtsmanship. He was a draughtsman, printmaker, and sculptor, but is known prima ...
" consumer desktop processors with up to 16 cores and 32 threads. However, the I/O die on the Rome
multi-chip module A multi-chip module (MCM) is generically an electronic assembly (such as a package with a number of conductor terminals or "pins") where multiple integrated circuits (ICs or "chips"), semiconductor dies and/or other discrete components are int ...
(MCM) is fabricated with the GlobalFoundries' 14 nm (14HP) process, while the Matisse's I/O die uses the GlobalFoundries' 12 nm (12LP+) process. The Radeon RX 5000 series is also based on TSMC's N7 process. Since 2009, however, "node" has become a commercial name for marketing purposes that indicates new generations of process technologies, without any relation to gate length, metal pitch or gate pitch. TSMC and Samsung's 10 nm (10 LPE) processes are somewhere between Intel's 14 nm and 10 nm processes in
transistor density The transistor count is the number of transistors in an electronic device (typically on a single substrate or "chip"). It is the most common measure of integrated circuit complexity (although the majority of transistors in modern microprocessors ...
.


History


Technology demos

7 nm scale
MOSFET The metal–oxide–semiconductor field-effect transistor (MOSFET, MOS-FET, or MOS FET) is a type of field-effect transistor (FET), most commonly fabricated by the controlled oxidation of silicon. It has an insulated gate, the voltage of which d ...
s were first demonstrated by researchers in the early 2000s. In 2002, an IBM research team including Bruce Doris, Omer Dokumaci, Meikei Ieong and Anda Mocuta fabricated a 6 nm
silicon-on-insulator In semiconductor manufacturing, silicon on insulator (SOI) technology is fabrication of silicon semiconductor devices in a layered silicon–insulator–silicon substrate, to reduce parasitic capacitance within the device, thereby improving perfo ...
(SOI) MOSFET. In 2003,
NEC is a Japanese multinational corporation, multinational information technology and electronics corporation, headquartered in Minato, Tokyo. The company was known as the Nippon Electric Company, Limited, before rebranding in 1983 as NEC. It prov ...
's research team led by Hitoshi Wakabayashi and Shigeharu Yamagami fabricated a
5 nm In semiconductor manufacturing, the International Roadmap for Devices and Systems defines the 5  nm process as the MOSFET technology node following the 7 nm node. In 2020, Samsung and TSMC entered volume production of 5 nm chips, ...
MOSFET. In July 2015, IBM announced that they had built the first functional transistors with 7 nm technology, using a silicon-germanium process. In June 2016,
TSMC Taiwan Semiconductor Manufacturing Company Limited (TSMC; also called Taiwan Semiconductor) is a Taiwanese multinational corporation, multinational semiconductor contract manufacturing and design company. It is the world's most valuable semicon ...
had produced 256 Mbit SRAM memory cells at their 7 nm process, with a cell area of 0.027 square micrometers (550 F2) with reasonable risk production yields.


Expected commercialization and technologies

In April 2016, TSMC announced that 7 nm trial production would begin in the first half of 2017. In April 2017, TSMC began risk production of 256 Mbit SRAM memory chips using a 7 nm (N7FF+) process, with
extreme ultraviolet lithography Extreme ultraviolet lithography (also known as EUV or EUVL) is an optical lithography technology used in steppers, machines that make integrated circuits (ICs) for computers and other electronic devices. It uses a range of extreme ultraviolet (EUV) ...
(EUV). TSMC's 7 nm production plans, as of early 2017, were to use deep ultraviolet (DUV) immersion lithography initially on this process node (N7FF), and transition from risk to commercial volume manufacturing from Q2 2017 to Q2 2018. Also, their later generation 7 nm (N7FF+) production is planned to use EUV multiple patterning and to have an estimated transition from risk to volume manufacturing between 2018 and 2019. In September 2016, GlobalFoundries announced trial production in the second half of 2017 and risk production in early 2018, with test chips already running. In February 2017,
Intel Intel Corporation is an American multinational corporation and technology company headquartered in Santa Clara, California. It is the world's largest semiconductor chip manufacturer by revenue, and is one of the developers of the x86 seri ...
announced Fab 42 in
Chandler, Arizona Chandler is a city in Maricopa County, Arizona, United States, and a suburb in the Phoenix-Mesa-Chandler Metropolitan Statistical Area (MSA). It is bordered to the north and west by Tempe, to the north by Mesa, to the west by Phoenix, to the ...
will produce microprocessors using 7 nm (Intel 4) manufacturing process. The company has not published any expected values for feature lengths at this process node. In April 2018, TSMC announced volume production of 7 nm (CLN7FF, N7) chips. In June 2018, the company announced mass production ramp up. In May 2018,
Samsung The Samsung Group (or simply Samsung) ( ko, 삼성 ) is a South Korean multinational manufacturing conglomerate headquartered in Samsung Town, Seoul, South Korea. It comprises numerous affiliated businesses, most of them united under the ...
announced production of 7 nm (7LPP) chips this year. ASML Holding NV is their main supplier of EUV lithography machines. In August 2018, GlobalFoundries announced it was stopping development of 7 nm chips, citing cost. On October 28, 2018, Samsung announced their second generation 7 nm process (7LPP) had entered risk production and should enter mass production in 2019. On January 17, 2019, for the Q4 2018 earnings call, TSMC mentioned that different customers will have "different flavors" of second generation 7 nm.Q4 2018 TSMC earnings call transcript, January 17, 2019. On April 16, 2019, TSMC announced their 6 nm process called (CLN6FF, N6), which is expected to be in mass products from 2021. N6 uses EUVL in up to 5 layers, compared to up to 4 layers in their N7+ process. On July 28, 2019, TSMC announced their second gen 7 nm process called N7P, which is DUV-based like their N7 process. Since N7P is fully IP-compatible with the original 7 nm, while N7+ (which uses EUV) is not, N7+ (announced earlier as '7 nm+') is a separate process from '7 nm'. N6 ('6 nm'), another EUV-based process, is planned to be released later than even TSMC's 5 nm (N5) process, with the IP-compatibility with N7. At their Q1 2019 earnings call, TSMC reiterated their Q4 2018 statement that N7+ would generate less than $1 billion TWD in revenue in 2019. On October 5, 2019, AMD announced their
EPYC Epyc is a brand of multi-core x86-64 microprocessors designed and sold by AMD, based on the company's Zen microarchitecture. Introduced in June 2017, they are specifically targeted for the server and embedded system markets. Epyc processors share t ...
Roadmap, featuring Milan chips built using TSMC's N7+ process. On October 7, 2019, TSMC announced they started delivering N7+ products to market in high volume. On July 26, 2021, Intel announced their new manufacturing roadmap, renaming all of their future process nodes. Intel's 10 nm Enhanced SuperFin (10ESF), which is roughly equivalent to TSMC's N7 process, would now be known as Intel 7, while their earlier 7 nm process would now be called Intel 4. This means that their first processors based on the new 7 nm would start shipping by the second half of 2022. Intel earlier announced that they would launch 7 nm processors in 2023.


Technology commercialization

In June 2018,
AMD Advanced Micro Devices, Inc. (AMD) is an American multinational semiconductor company based in Santa Clara, California, that develops computer processors and related technologies for business and consumer markets. While it initially manufactur ...
announced 7 nm
Radeon Instinct AMD Instinct is AMD's brand of professional GPUs. It replaced AMD's FirePro S brand in 2016. Compared to the Radeon brand of mainstream consumer/gamer products, the Instinct product line is intended to accelerate deep learning, artificial neu ...
GPUs launching in the second half of 2018. In August 2018, the company confirmed the release of the GPUs. On August 21, 2018,
Huawei Huawei Technologies Co., Ltd. ( ; ) is a Chinese multinational technology corporation headquartered in Shenzhen, Guangdong, China. It designs, develops, produces and sells telecommunications equipment, consumer electronics and various smar ...
announced their HiSilicon Kirin 980 SoC to be used in their Huawei Mate 20 and Mate 20 Pro built using TSMC's 7 nm (N7) process. On September 12, 2018,
Apple An apple is an edible fruit produced by an apple tree (''Malus domestica''). Apple fruit tree, trees are agriculture, cultivated worldwide and are the most widely grown species in the genus ''Malus''. The tree originated in Central Asia, wh ...
announced their
A12 Bionic The Apple A12 Bionic is a 64-bit ARM-based system on a chip (SoC) designed by Apple Inc. It first appeared in the iPhone XS and XS Max, iPhone XR, iPad Air (3rd generation), iPad Mini (5th generation), 8th generation iPad and Apple TV 4K (2n ...
chip used in iPhone XS and iPhone XR built using TSMC's 7 nm (N7) process. The A12 processor became the first 7 nm chip for mass market use as it released before the Huawei Mate 20. On October 30, 2018, Apple announced their A12X Bionic chip used in iPad Pro built using TSMC's 7 nm (N7) process. On December 4, 2018,
Qualcomm Qualcomm () is an American multinational corporation headquartered in San Diego, California, and incorporated in Delaware. It creates semiconductors, software, and services related to wireless technology. It owns patents critical to the 5G, 4 ...
announced their Snapdragon 855 and 8cx built using TSMC's 7 nm (N7) process. The first mass product featuring the Snapdragon 855 was the Lenovo Z5 Pro GT, which was announced on December 18, 2018. On May 29, 2019, MediaTek announced their 5G SoC built using a TSMC 7 nm process. On July 7, 2019, AMD officially launched their Ryzen 3000 series of central processing units, based on the TSMC 7 nm process and
Zen 2 Zen 2 is a computer processor microarchitecture by AMD. It is the successor of AMD's Zen and Zen+ microarchitectures, and is fabricated on the 7 nanometer MOSFET node from TSMC. The microarchitecture powers the third generation of Ryzen proces ...
microarchitecture. On August 6, 2019,
Samsung The Samsung Group (or simply Samsung) ( ko, 삼성 ) is a South Korean multinational manufacturing conglomerate headquartered in Samsung Town, Seoul, South Korea. It comprises numerous affiliated businesses, most of them united under the ...
announced their Exynos 9825 SoC, the first chip built using their 7LPP process. The Exynos 9825 is the first mass market chip built featuring
EUVL Extreme ultraviolet lithography (also known as EUV or EUVL) is an optical lithography technology used in steppers, machines that make integrated circuits (ICs) for computers and other electronic devices. It uses a range of extreme ultraviolet (EUV) ...
. On September 6, 2019, Huawei announced their HiSilicon Kirin 990 4G & 990 5G SoCs, built using TSMC's N7 and N7+ processes. On September 10, 2019, Apple announced their A13 Bionic chip used in iPhone 11 and
iPhone 11 Pro The iPhone 11 Pro and iPhone 11 Pro Max are smartphones designed, developed and marketed by Apple Inc. Serving as the Apple's flagship models of the 13th-generation of iPhones, they succeeded the iPhone XS and iPhone XS Max, respectively, ...
built using TSMC's 2nd gen N7P process. 7 nm (N7 nodes) manufacturing made up 36% of TSMC's revenue in the second quarter of 2020. On August 17, 2020, IBM announced their
Power10 Power10 is a superscalar, multithreading, multi-core microprocessor family, based on the open source Power ISA, and announced in August 2020 at the Hot Chips conference; systems with Power10 CPUs. Generally available from September 2021 in th ...
processor. On July 26, 2021, Intel announced that their
Alder Lake Alder Lake is Intel's codename for the 12th generation of Intel Core processors based on a hybrid architecture utilizing Golden Cove performance cores and Gracemont efficient cores. It is fabricated using Intel's Intel 7 process, previousl ...
processors would be manufactured using their newly rebranded Intel 7 process, previously known as 10 nm Enhanced SuperFin. These processors will be released in the second half of 2021. The company earlier confirmed a 7 nm, now called Intel 4, microprocessor family called Meteor Lake to be released in 2023.


7 nm patterning difficulties

The 7 nm foundry node is expected to utilize any of or a combination of the following patterning technologies:
pitch splitting Pitch may refer to: Acoustic frequency * Pitch (music), the perceived frequency of sound including "definite pitch" and "indefinite pitch" ** Absolute pitch or "perfect pitch" ** Pitch class, a set of all pitches that are a whole number of octave ...
, self-aligned patterning, and
EUV lithography Extreme ultraviolet lithography (also known as EUV or EUVL) is an optical lithography technology used in steppers, machines that make integrated circuits (ICs) for computers and other electronic devices. It uses a range of extreme ultraviolet (EUV) ...
. Each of these technologies carries significant challenges in critical dimension (CD) control as well as pattern placement, all involving neighboring features.


Pitch splitting

Pitch splitting involves splitting features that are too close together onto different masks, which are exposed successively, followed by litho-etch processing. Due to the use of different exposures, there is always the risk of overlay error between the two exposures, as well as different CDs resulting from the different exposures.


Spacer patterning

Spacer patterning involves depositing a layer onto pre-patterned features, then etching back to form spacers on the sidewalls of those features, referred to as core features. After removing the core features, the spacers are used as an etch mask to define trenches in the underlying layer. While the spacer CD control is generally excellent, the trench CD may fall into one of two populations, due to the two possibilities of being located where a core feature was located or in the remaining gap. This is known as 'pitch walking'. Generally pitch = core CD + gap CD + 2 * spacer CD, but this does not guarantee core CD = gap CD. For FEOL features like gate or active area isolation (e.g., fins), the trench CD is not as critical as the spacer-defined CD, in which case, spacer patterning is actually the preferred patterning approach. When self-aligned quadruple patterning (SAQP) is used, there is a second spacer that is utilized, replacing the first one. In this case, the core CD is replaced by core CD - 2* 2nd spacer CD, and the gap CD is replaced by gap CD - 2 * 2nd spacer CD. Thus, some feature dimensions are strictly defined by the second spacer CD, while the remaining feature dimensions are defined by the core CD, core pitch, and first and second spacer CD's. The core CD and core pitch are defined by conventional lithography, while the spacer CDs are independent of lithography. This is actually expected to have less variation than pitch splitting, where an additional exposure defines its own CD, both directly and through overlay. Spacer-defined lines also require cutting. The cut spots may shift at exposure, resulting in distorted line ends or intrusions into adjacent lines. Self-aligned litho-etch-litho-etch (SALELE) has been implemented for 7 nm BEOL patterning.


EUV lithography

Extreme ultraviolet lithography Extreme ultraviolet lithography (also known as EUV or EUVL) is an optical lithography technology used in steppers, machines that make integrated circuits (ICs) for computers and other electronic devices. It uses a range of extreme ultraviolet (EUV) ...
(also known as ''EUV'' or ''EUVL'') is capable of resolving features below 20 nm in conventional lithography style. However, the 3D reflective nature of the EUV mask results in new anomalies in the imaging. One particular nuisance is the two-bar effect, where a pair of identical bar-shaped features do not focus identically. One feature is essentially in the 'shadow' of the other. Consequently, the two features generally have different CDs which change through focus, and these features also shift position through focus. This effect may be similar to what may be encountered with pitch splitting. A related issue is the difference of best focus among features of different pitches. EUV also has issues with reliably printing all features in a large population; some contacts may be completely missing or lines bridged. These are known as stochastic printing failures. The defect level is on the order of 1K/mm2. The tip-to-tip gap is hard to control for EUV, largely due to the illumination constraint. A separate exposure(s) for cutting lines is preferred. Attenuated phase shift masks have been used in production for 90 nm node for adequate focus windows for arbitrarily pitched contacts with the ArF laser wavelength (193 nm), whereas this resolution enhancement is not available for EUV. At 2021 SPIE's EUV Lithography conference, it was reported by a TSMC customer that EUV contact yield was comparable to immersion multipatterning yield.


Comparison with previous nodes

Due to these challenges, 7 nm poses unprecedented patterning difficulty in the
back end of line The back end of line (BEOL) is the second portion of IC fabrication where the individual devices (transistors, capacitors, resistors, etc.) get interconnected with wiring on the wafer, the metalization layer. Common metals are copper and alumi ...
(BEOL). The previous high-volume, long-lived foundry node (Samsung 10 nm, TSMC 16 nm) used pitch splitting for the tighter pitch metal layers.


Cycle time: immersion vs. EUV

Due to the immersion tools being faster presently, multipatterning is still used on most layers. On the layers requiring immersion quad-patterning, the layer completion throughput by EUV is comparable. On the other layers, immersion would be more productive at completing the layer even with multipatterning.


7 nm design rule management in volume production

The 7 nm metal patterning currently practiced by TSMC involves self-aligned double patterning (SADP) lines with cuts inserted within a cell on a separate mask as needed to reduce cell height. However, self-aligned quad patterning (SAQP) is used to form the fin, the most important factor to performance. Design rule checks also allow via multi-patterning to be avoided, and provide enough clearances for cuts that only one cut mask is needed.


7 nm process nodes and process offerings

The naming of process nodes by 4 different manufacturers (TSMC, Samsung, SMIC, Intel) is partially marketing-driven and not directly related to any measurable distance on a chip for example TSMC's 7 nm node was previously similar in some key dimensions to Intel's planned first-iteration 10 nm node, before Intel released further iterations, culminating in "10nm Enhanced SuperFin", which was later renamed to "Intel 7" for marketing reasons. Since EUV implementation at 7 nm is still limited, multipatterning still plays an important part in cost and yield; EUV adds extra considerations. The resolution for most critical layers is still determined by multiple patterning. For example, for Samsung's 7 nm, even with EUV single-patterned 36 nm pitch layers, 44 nm pitch layers would still be quadruple patterned.J. Kim et al., Proc. SPIE 10962, 1096204 (2019). GlobalFoundries' 7 nm 7LP (Leading Performance) process would have offered 40% higher performance or 60%+ lower power with a 2x scaling in density and at a 30-45+% lower cost per die over its 14 nm process. The Contacted Poly Pitch (CPP) would have been 56 nm and the Minimum Metal Pitch (MMP) would have been 40 nm, produced with Self-Aligned Double Patterning (SADP). A 6T SRAM cell would have been 0.269 square microns in size. GlobalFoundries planned to eventually use EUV lithography in an improved process called 7LP+. GlobalFoundries later stopped all 7 nm and beyond process development. Intel's new "Intel 7" process, previously known as 10 nm Enhanced SuperFin (10ESF), is based on its previous 10 nm node. The node will feature a 10-15% increase in
performance per watt In computing, performance per watt is a measure of the energy efficiency of a particular computer architecture or computer hardware. Literally, it measures the rate of computation that can be delivered by a computer for every watt of power consume ...
. Meanwhile, their old 7 nm process, now called "Intel 4", is expected to be released in 2023. Few details about the Intel 4 node have been made public, although its transistor density has been estimated to be at least 202 million transistors per square millimeter. As of 2020, Intel is experiencing problems with its Intel 4 process to the point of outsourcing production of its Ponte Vecchio GPUs.


References


External links


7 nm lithography process
{{DEFAULTSORT:7 nanometre *00007 Taiwanese inventions